CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 低通滤波器 Verilog

搜索资源列表

  1. LPF

    0下载:
  2. 数字低通FIR滤波器Verilog实现代码-Verilog digital FIR filter implementation code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4013
    • 提供者:程超
  1. filter_lowpass

    0下载:
  2. 基于Verilog的低通滤波器的设计与实现-Based on the Verilog low-pass filter of design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:812
    • 提供者:洪依
  1. Ufilter_verils

    0下载:
  2. 用verilog实现的低通滤波器,输输入输出精度为64位,并附有测试程序。 -Verilog to achieve a low-pass filter, the input input output precision of 64, with a test program.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:83491
    • 提供者:anticipate
  1. rc_flt

    0下载:
  2. 基于FPGA实现的64阶升余弦FIR并行滤波器,采用iso18000.6c标准实现,具有很好的低通滤波效果,已通过后仿上板验证,采用verilog语言实现。-64 order raised cosine FIR FPGA-based parallel filters, implemented using iso18000.6c standard with a low-pass filtering effect imitation on the board has passed validatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:3642
    • 提供者:小梦
  1. fir

    1下载:
  2. 用Verilog语言设计的一个数字FIR低通滤波器,很实用,通过modelsim仿真成功-Verilog language to design a digital FIR low-pass filter, very practical, through modelsim simulation success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:1685264
    • 提供者:liu
  1. FIR_Lowpass

    0下载:
  2. 用Verilog HDL编写的FIR低通滤波器。FIR低通滤波器采用8阶串行方式实现。-Written using Verilog HDL FIR low-pass filter. FIR low-pass filter 8-order serial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:796183
    • 提供者:李桐
  1. da_fir

    0下载:
  2. 基于FPGA分布式算法FIR滤波器verilog代码 (本人 小论文 代码,通过验证) ​ 本文提出一种新的FIR滤波器FPGA实现方法。讨论了分布式算法原理,并提出了基于分布式算法FIR滤波器的实现方法。通过改进型分布式算法结构减少硬件资源消耗,用流水线技术提高运算速度,采用分割查找表方法减小存储规模,并在Matlab和Modelsim仿真平台得到验证。​ 为了节省FPGA逻辑资源、提高系统速度,设计中引入了分布式算法实现有限脉冲响应滤波器(F
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:5827
    • 提供者:石康
  1. fir_lowpass

    0下载:
  2. 简易FIR低通滤波器的verilog代码-Simple FIR low-pass filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1097
    • 提供者:谢文斌
  1. fir_128factor

    0下载:
  2. 使用verilog 编写的128阶低通滤波器,抽头系数可调。-Prepared using verilog-order low-pass filter 128, the tap coefficients adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2666
    • 提供者:王尼玛
  1. fir_verilog_matlab

    0下载:
  2. 本设计是基于FPGA的一个FIR低通滤波器设计,要求使用Verilog语言编写滤波器模块,通过编译和综合,并通过Matlab和modelsim联合仿真验证设计结果。-This design is a FIR low-pass filter design based on FPGA, use Verilog to program filter module, and joint simulation by Matlab and modelsim to validate the design re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1352717
    • 提供者:郭婷
  1. filter

    0下载:
  2. 数字滤波器的verilog语言程序,为双精度的滤波器,可以实现10k低通滤波-verilog filter
  3. 所属分类:ELanguage

    • 发布日期:2017-04-15
    • 文件大小:6665
    • 提供者:meng
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. fpga-fir

    0下载:
  2. 使用Quartus II 9.1完成低通FIR滤波器的实现,在任意开发板上都能实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 the realization of the complete low pass FIR filter, can be implemented in any development board. The operation is simple, the use of VHDL and the Verilog langua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1405365
    • 提供者:韩闯
  1. fir-filter

    0下载:
  2. 基于Verilogfir 滤波器,含低通、带通-a fir filter base on FPGA verilog software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2196
    • 提供者:LIU WEI
« 1 2»
搜珍网 www.dssz.com